0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000010000111010000000000000000000000000000000000000000000000 0000000000000000000000000000000000000101100000000100100000000000000000000000000000000000000000000000 0000000000000000000000000000000000000011011010100000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000100001100011011001010110100000000000000000000000000000000000000000 0000000000000000000000000000000010010001101101111000110110010000000000000000000000000000000000000000 0000000000000000000000000000001000000001011111111101011100000000000000000000000000000000000000000000 0000000000000000000000000000000101111110110101111111111110110100000000000000000000000000000000000000 0000000000000000000000000000000111111111111111111111110111000100000000000000000000000000000000000000 0000000000000000000000000000011010101101011111111111110101111001000000000000000000000000000000000000 0000000000000000000000000000000011111110111111111111111101101000000000000000000000000000000000000000 0000000000000000000000000001011111111111111111111111111111011111100000000000000000000000000000000000 0000000000000000000000000001100001011111111111111111111111111010100000000000000000000000000000000000 0000000000000000000000000001010100011111111111111111111111101111100100000000000000000000000000000000 0000000000000000000000000001111111111111111111111111111111111101110000000000000000000000000000000000 0000000000000000000000000001011111111111111111111111111111111111110000000000000000000000000000000000 0000000000000000000000000011101111111111111111111111111111111111101110000000000000000000000000000000 0000000000000000000010010010011111111111111111111111111111111111011101000000000000000000000000000000 0000000000000001000000011101111111111111111111111111111111111111001010000000000000000000000000000000 0000000000000100101010101001111111111111111111111111111111111110110001000000000000000000000000000000 0000000000000111001000111011111111111111111111111111111111111110110001000000000000000000000000000000 0000000000000101000111111111111111111111111111111111111111111111100000000000000000000000000000000000 0000000000011011001111101111111111111111111111111111111111111111110011000000000000000000000000000000 0000000000001110110000110111111111111111111111111111111111111111010011000000000000000000000000000000 0000000000010111111110111111111111111111111111111111111111111110100010000000000000000000000000000000 0000001010100111111111111111111111111111111111111111111111111111110011000000000000000000000000000000 0000000100011111111111111111111111111111111111111111111111111111111011000000000000000000000000000000 0000000111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000 0000000110111101111111111111111111111111111111111111111111111111111110000000000000000000000000000000 0000000110011101111111111111111111111111111111111111111111111111111101000000000000000000000000000000 0000001011011111111111111111111111111111111111111111111111111111111010000000000000000000000000000000 0000001111111111111111111111111111111111111111111111111111111111110100000000000000000000000000000000 0000101111101111111111111111111111111111111111111111111111111111111101000000000000000000000000000000 0000110011011111111111111111111111111111111111111111111111111111110111100000000000000000000000000000 0000000011111111111111111111111111111111111111111111111111111110110000000000000000000000000000000000 0000011111111111111111111111111111111111111111111111111111111111111100010000000000000000000000000000 0000001111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000 0000111111011111111111111111111111111111111111111111111111111111111010000000000000000000000000000000 0000001111111111111111111111111111111111111111111111111111111100101010010000000000000000000000000000 0111101111111111111111111111111111111111111111111111111111111111000010000000000000000000000000000000 0001110011111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000 0100010011111111111111111111111111111111111111111111111111111111101101000000000000000000000000000000 0000000011111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000 0100010111111111111111111111111111111111111111111111111111111110010011000000000000000000000000000000 0110111110111111111111111111111111111111111111111111111111111111111010000000000000000000000000000000 0000010011011111111111111111111111111111111111111111111111111011101100000000000000000000000000000000 0001110111111111111111111111111111111111111111111111111111111011010000000000000000000000000000000000 0000011111111111111111111111111111111111111111111111111111101110100000000000000000000000000000000000 0000011101111111111111111111111111111111111111111111111111011001100000000000000000000000000000000000 0000000001011111111111111111111111111111111111111111111001100000000000000000000000000000000000000000 0000000010111111111111111111111111111111111111111011111101101110000000000000000000000000000000000000 0000000110011111111111111111111111111111111111111111101101101000000000000000000000000000000000000000 0000000111011101111111111111111111111111111111111111101011100000000000000000000000000000000000000000 0000000011011111111111111111111111111111111111111101011100000000000000000000000000000000000000000000 0000000000101010111111111111111111111111111111111111111100000000000000000000000000000000000000000000 0000000011100111110111111111111111111111110111101101100010000000000000000000000000000000000000000000 0000000000001111111111111111111111111111111011101111000000000000000000000000000000000000000000000000 0000000000000111111111111111111111111111111101111100000000000000000000000000000000000000000000000000 0000000000000011011101111101111111111101101011010000000000000000000000000000000000000000000000000000 0000000000000011101111111111111111111111010110110100000000000000000000000000000000000000000000000000 0000000000000001010111011111011111110010110100000000000000000000000000000000000000000000000000000000 0000000000000000011011111111101111111110011001010000000000000000000000000000000000000000000000000000 0000000000000000010011100100001011101111101000000000000000000000000000000000000000000000000000000000 0000000000000000000010000111100111010110000100000000000000000000000000000000000000000000000000000000 0000000000000000000000101101100010000101000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000001011000000000100000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0.03276069085146381 4.3988768393263715 0.03790816571931849 4.376479347772846 0.033140918989008845 4.030425103634079 0.034439182339840835 3.6476845560331475 0.03863170552594912 3.7706031708097933 0.03289901395354274 3.7677004514694725 0.031189709582420455 3.4919744646796396 0.036479815905560646 3.3503511916261557 0.028141150596681926 3.2038659764201385 0.02498661044894069 2.794789958136775 0.026455119811614608 2.8962075783091352 0.01936478327581824 2.758469185570529 0.02414934729843874 3.2227928683327747 0.03248848047368475 3.76305767704142 0.03249957731002379 4.280826393569387 0.029492119130314527 4.664903657326543 0.02074377848034705 4.885917882424159 0.02629228371792428 4.941320321044166 0.030430777788230282 5.182084285723734 0.02541848798899549 5.409029188087786 0.028055689273029333 5.807522977941464 0.03549743700321303 5.897556469723748 0.03199452937365567 5.553580363280384 0.032547491472486076 5.299338882275543 0.03547202437367784 5.184312326533385 0.03406979179304787 4.797182217531729 0.035752401193149916 4.81963046673006 0.034505022249625004 4.433536378795517 0.03547194030998115 4.864258340384037 0.0321536333435782 4.83863433490508 0.037637586867734614 4.602556415790124 0.028930733558702074 4.2598038463089845